Efficient Buffer Resource Distribution by Buffer Planning in Floorplanning Level to Improve Buffer Usage and Routing Congestion

Efficient Buffer Resource Distribution by Buffer Planning in Floorplanning Level to Improve Buffer Usage and Routing Congestion

Ali Jahanian, Morteza Saheb Zamani

Abstract

Buffer insertion plays an important role in circuit performance and signal integrity especially in deep submicron technologies. The stage at which buffers are inserted in a design has a large impact on the design quality. Early buffer insertion may cause mis-estimation due to unknown cell locations whereas buffer insertion after placement may not be very effective because the cell locations have been fixed and buffer resources may be distributed inappropriately. In this paper, a buffer planning algorithm for floor-placement design flow is presented. This algorithm creates a map of buffer requirements in various regions of the design at the floorplanning stage and then enforces the detailed placer to distribute white spaces with respect to the estimated buffer requirement map. Experimental results show that the proposed method improves the performance of attempted circuits with fewer buffers. Furthermore, results show that congestion, routability and design convergence are improved and the auxiliary loops are avoided in the proposed design flow. Our analyses and experiments show that the CPU time overhead of this algorithm is very small.

Keywords

Buffer Planning, Buffer Insertion, Planning

References